2022-01-01から1年間の記事一覧

簡易メモリエディタを利用したダイパの任意コード実行用のコード一覧

やり方解説ではありません。ほぼデテロニー氏のマーキングACEの解説サイトのプログラムを簡易メモリエディタ用に直しただけ。自分用のメモで無断なので怒られたら非公開にします。 動的RAM始点特定 コピープログラムの実行(Cブロック呼び出し)(デ) コン…